comparison proxy_unfinished.t @ 723:bc4d6e2bd031

Tests: adjusted HTTP/2 test to trigger write handler in v2 module. On some systems, the response size was not large enough to fill the send buffer.
author Sergey Kandaurov <pluknet@nginx.com>
date Tue, 29 Sep 2015 18:32:20 +0300
parents 153969b53780
children f4189a38c3a4
comparison
equal deleted inserted replaced
722:e09a6cda6764 723:bc4d6e2bd031